一、实物图(型号:DY-SV17F)

二、原理图

三、简介

DY-SV17F是一款智能语音模块,四种IO分段触发,UART串口控制,ONE_line单总线串口控制,标准MP3等7种工作模式;板载5W D类功放,可直接驱动 4Ω,3~5W 喇叭;支持MP3,WAV解码格式,板载32Mbit(4MByte)flash存储,可通过USB数据线连接电脑更新音频文件。

四、产品特性

1、支持 MP3、WAV 解码格式。

2、支持采样率(KHz):8/11.025/12/16/22.05/24/32/44.1/48。

3、24 位 DAC 输出,动态范围支持 90dB,信噪比支持 85dB。

4、板载 32Mbit(4MByte)flash 存储,可通过 USB 数据线连接电脑更新音频文件。。

5、自带 5W D 类功放,可直接驱动 4Ω,3~5W 喇叭。

6、UART 串口控制语音播报功能,可控制播放,暂停,选曲,音量加减等功能,最大选曲 65535首曲目,波特率 9600 bps。

7、支持 IO 触发播放功能,8 个 IO 口单独触发 8 首曲目或 8 个 IO 口组合触发 255 首曲目。

8、支持 One_line 单总线串口控制,可控制播放,暂停,选曲,音量加减等功能。

9、支持 3 个配置 IO 进行多达 7 种工作模式选择,拨码开关设置简单。

 

发表评论

后才能评论

本站所有资源版权均属于原作者所有,这里所提供资源均只能用于参考学习用,请勿直接商用。若由于商用引起版权纠纷,一切责任均由使用者承担。更多说明请参考 VIP介绍。

最常见的情况是下载不完整: 可对比下载完压缩包的与网盘上的容量,若小于网盘提示的容量则是这个原因。这是浏览器下载的bug,建议用百度网盘软件或迅雷下载。 若排除这种情况,可在对应资源底部留言,或联络我们。

对于会员专享、整站源码、程序插件、网站模板、网页模版等类型的素材,文章内用于介绍的图片通常并不包含在对应可供下载素材包内。这些相关商业图片需另外购买,且本站不负责(也没有办法)找到出处。 同样地一些字体文件也是这种情况,但部分素材会在素材包内有一份字体下载链接清单。

如果您已经成功付款但是网站没有弹出成功提示,请联系站长提供付款信息为您处理

源码素材属于虚拟商品,具有可复制性,可传播性,一旦授予,不接受任何形式的退款、换货要求。请您在购买获取之前确认好 是您所需要的资源